Тестирование софта - статьи
ce076b8f

Разработка модуля запуска тестовой системы


Разработка модуля запуска тестовой системы осуществляется по следующей схеме:
  • разрабатывается функцию запуска тестовой системы CTesK;
  • разрабатывается SystemC-модуль для вызова тестовой системы CTesK в отдельном модельном процессе.

Ниже приводится функция count_start, запускающая тестовый сценарий count_scenario. // функция запуска тестового сценария void count_start(const char *trace) { addTraceToFile(trace); count_scenario(0, NULL); }

Ниже приводится SystemC-модуль для вызова тестовой системы CTesK в отдельном модельном процессе. // модуль запуска тестовой системы SC_MODULE(count_testbench) { public: // определяем отдельный модельный процесс SC_CTOR(count_testbench) { SC_THREAD(main); } // метод запуска теста void start(void) { sc_start(); } // процесс тестовой системы CTesK void main(void) { count_start("simulation.unitrace"); } };

Видно, что разработку модуля запуска тестовой системы можно полностью автоматизировать.

Содержание раздела